5LPE

Samsung Foundry has made some changes to its plans concerning its 3 nm-class process technologies that use gate-all-around (GAA) transistors, or what Samsung calls its multi-bridge channel field-effect transistors (MBCFETs). Based on new information direct from Samsung, it would appear that its first version of 3nm, 3GAE (3nm gate-all-around early), is coming to high volume manufacturing a year later than expected, but also it seems to have removed this technology from its public roadmap, suggesting it may be for internal use only. Meanwhile, 3GAE's successor 3GAP (3nm gate-all-around plus) node is still in the roadmap, it is on track for volume manufacturing in 2023. 3GAE on Track for 2022, Maybe Just Not for Everyone At its recent 2021 IP & ASIC Design Ecosystem Conference in China, Samsung...

Samsung Starts Mass Production at V1: A Dedicated EUV Fab for 7nm, 6nm, 5nm, 4nm, 3nm Nodes

Samsung Foundry has started mass production of chips using its 6LPP and 7LPP manufacturing processes at its new V1 fab. The new facility employs one of the industry’s first...

30 by Anton Shilov on 2/20/2020

New Tools & IP Accelerate Development of 5nm Arm ‘Hercules’ SoCs

Arm, Synopsys, and Samsung Foundry have developed a set of optimized tools and IP that will enable chip designers to build next-generation SoCs based on Arm’s Hercules processor cores...

9 by Anton Shilov on 10/10/2019

Samsung’s Aggressive EUV Plans: 6nm Production in H2, 5nm & 4nm On Track

Samsung Foundry formally started to produce chips using its 7LPP (7 nm low power plus) fabrication process last October and has not slowdown development of its manufacturing technologies since...

42 by Anton Shilov on 7/31/2019

Samsung’s 5nm EUV Technology Gets Closer: Tools by Cadence & Synopsys Certified

Samsung Foundry has certified full flow tools from Cadence and Synopsys for its 5LPE (5 nm low-power early) process technology that uses extreme ultraviolet lithography (EUV). Full flow design...

13 by Anton Shilov on 7/8/2019

Samsung Completes Development of 5nm EUV Process Technology

Samsung Foundry this week announced that it has completed development of its first-generation 5 nm fabrication process (previously dubbed 5LPE). The manufacturing technology uses extreme ultraviolet lithography (EUVL) and...

21 by Anton Shilov on 4/17/2019

Samsung Foundry Updates: 8LPU Added, EUVL on Track for HVM in 2019

Samsung recently hosted its Samsung Foundry Forum 2018 in Japan, where it made several significant foundry announcements. Besides reiterating plans to start high-volume manufacturing (HVM) using extreme ultraviolet lithography...

29 by Anton Shilov on 9/6/2018

Arm and Samsung Extend Artisan POP IP Collaboration to 7LPP and 5LPE Nodes

Arm and Samsung Foundry this week announced plans to extend their collaboration to 7LPP and 5LPE process technologies. Under the terms of the agreement, Arm will offer Samsung Foundry...

23 by Anton Shilov on 7/6/2018

Log in

Don't have an account? Sign up now